Laboratorní přípravek pro vývoj aplikací obvodů CPLD firmy Altera

| Kategorie: Diplomové, bakalářské práce  | Tento dokument chci!

V diplomové práci se zaměřuji na návrh schematu laboratorního přípravku a prostudování způsobů programování obvodů CPLD firmy Altera. Přípravek slouží pro vývoj a demonstraci aplikací v obvodech CPLD firmy Altera. Přípravek je navržen proprogramování kabely Altera a Presto (výrobce ASIX). Vstupní signály jsou realizovány soustavou přepínačů a tlačítek na desce. Stavy výstupů jsou zobrazovány na LED diodách, případně na připojeném multiplexním displeji. Uživatel má možnost připojit externí zařízení, přes externí vstupy. Práce je dále zaměřena na návrh desky plošných spojů laboratorního přípravku, následné výrobě, oživení přípravku a ověření kompatibility programátorů ALTERA aPRESTO. Závěr práce je zaměřen na práci s návrhovým prostředím QUARTUS II. Zejména se jedná o návod na práci se šablonami a simulací VHDL konstrukcí.

Vydal: FEKT VUT Brno Autor: Petr Gajdošík

Strana 26 z 53

Vámi hledaný text obsahuje tato stránku dokumentu který není autorem určen k veřejnému šíření.

Jak získat tento dokument?






Poznámky redaktora
Z důvodu, Quartus nedisponuje přímo funkcí Save Project As, rozklikneme si nabídku Project zvolíme položku Copy Project. Druhá pak textovou. Obě šablony jsou uloženy na doprovodném CD. Tímto krokem nám vytvořil novém umístění projekt novým jménem. Budeme zabývat prací šablonou schematickou vrcholovou jednotkou. A vybereme naši šablonu názvem “sablona_sch.qpf“.1. Zvolíme otevření stávajícího projektu. Ale pořád nám zobrazuje vrcholová jednotka názvem starým. Proto okně Project Navigator přepneme záložku Files dvojklikem . Zvolíme Ano. Jedna šablona se schematickou vrcholovou jednotkou. 5.1 Schematická vrcholová jednotka šablony 5.18 5 NÁVOD PRÁCI PŘÍPRAVKEM Pro práci přípravkem jsem vytvořil dvě šablony. Ale abychom neměli všechny projekty se stejným jménem, provedeme následujících pár kroků pro přejmenování.1 Přejmenování projektu V tuto chvíli máme otevřenou šablonu. našem případě zvolíme jméno “vzor_sch“ a potvrdíme. případě zvolení místa projektu, které neexistuje, budeme dotázáni, jestli se složka vytvořit. Otevře nám nabídka pro zvolení nového umístění projektu název projektu.1 Postupy pro práci šablonou QUARTUS II Po spuštění softwaru QUARTUS nám zobrazí nabídka vytvoření nového projektu nebo otevření stávajícího projektu. Obrázek 5