Laboratorní přípravek pro vývoj aplikací obvodů CPLD firmy Altera

| Kategorie: Diplomové, bakalářské práce  | Tento dokument chci!

V diplomové práci se zaměřuji na návrh schematu laboratorního přípravku a prostudování způsobů programování obvodů CPLD firmy Altera. Přípravek slouží pro vývoj a demonstraci aplikací v obvodech CPLD firmy Altera. Přípravek je navržen proprogramování kabely Altera a Presto (výrobce ASIX). Vstupní signály jsou realizovány soustavou přepínačů a tlačítek na desce. Stavy výstupů jsou zobrazovány na LED diodách, případně na připojeném multiplexním displeji. Uživatel má možnost připojit externí zařízení, přes externí vstupy. Práce je dále zaměřena na návrh desky plošných spojů laboratorního přípravku, následné výrobě, oživení přípravku a ověření kompatibility programátorů ALTERA aPRESTO. Závěr práce je zaměřen na práci s návrhovým prostředím QUARTUS II. Zejména se jedná o návod na práci se šablonami a simulací VHDL konstrukcí.

Vydal: FEKT VUT Brno Autor: Petr Gajdošík

Strana 27 z 53

Vámi hledaný text obsahuje tato stránku dokumentu který není autorem určen k veřejnému šíření.

Jak získat tento dokument?






Poznámky redaktora
V okně, které nám zobrazí, vybereme VHDL File potvrdíme OK.bdf. Následně provedeme uložení pod jiným názvem. Vstupem khertz frekvencí kHz postupně přepínané zobrazované digity na displeji.3 Vytvoření vlastního konstrukčního bloku Pro náš návrh budeme potřebovat vytvořit další blok, který bude plnit funkci, kterou nadefinujeme. V jiném případě možné vstupy výstupy přímo využít propojit návrhem. Tímto nám nestojí nic v cestě pokračovat návrhu.1 vidíme vytvořené dva bloky. Nyní klikneme na námi vytvořený soubor vzor_sch. obrázku 5. Pomocí vstupu clk50 frekvencí MHz obnovovány vstupní údaje vstupů a dp. Nyní nás čeká sestrojení konstrukce. Zdrojové kódy jsou uvedeny příloze B. První blok nazvaný dělička kmitočtu slouží jako dělič kmitočtu. Vyskočí nám okno ve kterém nastavíme nové jméno, našem případě vzor_sch položce Based On Revision ponecháme sablona_sch. Zápis členěn následujícím způsobem. Pro vytvoření, nabídce File klikneme možnost New. menu rozklikneme Project zvolíme položku Revision. Teď jen nás jestli ten starý smažeme nebo ne. našem případě pod jménem vzor_sch. . Jelikož nám nyní zůstal projektu původní soubor, tak něj klikneme pravým tlačítkem myši zvolíme odstranění projektu. Vstupní signály jsou pojmenovány písmeny F, dp, clk50 khertz.19 otevřeme šablona_sch. Pod hlavní nabídkou nám zobrazuje poslední vzpomínka šablonu. Zkontrolujeme, jestli máme zaškrtnuté možnosti pro Copy Database Set Current Revision. Vstupy jsou šestibitové každý vstup slouží právě jednomu digitu displeji. Tím zajistíme totožné nastavení šablonou.2.1. Automaticky nám přidá projektu. Otevře nám okno, něm poklepáme položku New Revision.bdf pravým tlačítkem zvolíme vrcholovou jednotku. 5. výstupu pak MHz, kHz Hz.2 Seznámení vrcholovou jednotkou Nyní představíme schematickou vrcholovou jednotku. Otevře nám soubor, který následně uložíme pod námi žádaným názvem.1. Vstup dp taktéž šestibitový každý bit reprezentuje právě jednu tečku každému digitu. Každý bit reprezentuje právě jen jeden segment celé číslice.bdf. Poté potvrdíme OK. Automaticky nám tento nově vytvořený soubor přidal projektu. Ty slouží minimálně ilustraci všech možných periferií, které dají využít pro návrh. 5. Nyní máme projekt vytvořen. šabloně kromě výše popsaných bloků mnoho vstupů výstupů. Jestli nám tato vzpomínka vadí, můžeme změnit. našem případě kam jsou přiřazené piny pouzdře obvodu, atd. Vidíme, nám přidal nový profil ten starý nám tam zůstal. Druhý blok nazván jako disp funguje jako řadič multiplexně řízeného 7segmentového displeje. značí jaké nastavení projektu aktuální.1 B. vstupu máme oscilátor frekvenci MHz