Laboratorní přípravek pro vývoj aplikací obvodů CPLD firmy Altera

| Kategorie: Diplomové, bakalářské práce  | Tento dokument chci!

V diplomové práci se zaměřuji na návrh schematu laboratorního přípravku a prostudování způsobů programování obvodů CPLD firmy Altera. Přípravek slouží pro vývoj a demonstraci aplikací v obvodech CPLD firmy Altera. Přípravek je navržen proprogramování kabely Altera a Presto (výrobce ASIX). Vstupní signály jsou realizovány soustavou přepínačů a tlačítek na desce. Stavy výstupů jsou zobrazovány na LED diodách, případně na připojeném multiplexním displeji. Uživatel má možnost připojit externí zařízení, přes externí vstupy. Práce je dále zaměřena na návrh desky plošných spojů laboratorního přípravku, následné výrobě, oživení přípravku a ověření kompatibility programátorů ALTERA aPRESTO. Závěr práce je zaměřen na práci s návrhovým prostředím QUARTUS II. Zejména se jedná o návod na práci se šablonami a simulací VHDL konstrukcí.

Vydal: FEKT VUT Brno Autor: Petr Gajdošík

Strana 10 z 53

Vámi hledaný text obsahuje tato stránku dokumentu který není autorem určen k veřejnému šíření.

Jak získat tento dokument?






Poznámky redaktora
Jsou schopné pracovat na frekvencích stovek MHz. Zvláště kvůli jejich rychlosti jsou vhodné místech, kde je potřeba rychlá reakce, například síťové přepínače (switch), zpracování rychlých signálů. . Jsou obvody, které jsou složeny mnoha logických obvodů. Programovatelné logické obvody dělí tři základní typy. počet hradel, avšak jde zavádějící pojem, protože řada hradel použita pomocné systémové funkce. Tyto obvody samozřejmě neobsahují jen je. Typická struktura makrobuňky nejjednodušších obvodů PAL zobrazena na Obrázku 1. zkratka slovního spojení Programmable Logic Devices.1 Struktura makrobuňky obvodů SPLD typu PAL (převzato [1]) Obvody CPLD mají makrobuňky složitější obvody FPGA mají spíše logické bloky sdružující řadu dalších funkcí. toho důvodu vhodnější jednotkou velikosti PLD obvodů užívaný počet makrobuněk. Výhody těchto obvodů jsou tom, jsou velmi rychlé. Obrázek 1. Velikost PLD obvodů uvádí většinou dvou jednotkách. Jsou SPLD (Simple Programmable Logic Devices), CPLD (Complex Programmable Logic Devices) a obvody FPGA (Field Programmable Gate Arrays). Číslicové programovatelné obvody všeobecně označují zkratkou PLD. Podoba makrobuňky každého typu PLD obvodů liší. Programovatelné jsou proto, uživatel schopný si tyto obvody nakonfigurovat podle svých potřeb.2 1 PROGRAMOVATELNÉ LOGICKÉ OBVODY V této kapitole jsou použity informace pramenu [2]. Makrobuňka vždy jeden paměťový člen (klopný obvod typu D), který je doplněný řadu pomocných hradel.1. Nejmenším stavebním prvkem dvouvstupé hradlo NAND